Publications(2006)

論文誌

X. Wen, S. Kajiihara, K. Miyase, Y. Yamato, L.-T. Wang, K. K. Saluja, K. Kinoshita
"A Per-Test Fault Diagnosis Method Based on the X-Fault Model"
IEICE Trans. Inf. & Syst, Vol.E89-D, No.11, pp.2756-2765(2006.11)

佐藤康夫,浜田周治,前田敏行,高取厚夫,野津山泰行,梶原誠司
"統計的遅延品質モデル(SDQM)のフィージビリティ評価"
電子情報通信学会論文誌 D-I, Vol. J89-D-I, No.8, pp.1717-1728, AUGUST 2006.

宮瀬 紘平,梶原 誠司,レディ スダーカ
"多重スキャンツリー設計によるテストデータ量・テスト印加時間の削減"
情報処理学会論文誌, Vol.47, No.6, pp.1648-1657, JUNE 2006

X. Wen、Y. Yamashita、S. Kajiihara、L.-T. Wang、K. K. Saluja、K. Kinoshita
"A New Method for Low-Capture-Power Test Generation for Scan Testing"
IEICE Trans. Inf. & Syst、Vol.E89-D、No.5、pp.1679-1686(2006.5)

Yasuo Sato, Shuji Hamada, Toshiyuki Maeda, Atsuo Takatori, and Seiji Kajihara
"A statistical quality model for delay testing"
IEICE Trans. ELECTRONICS, VOL. E89-C, No. 3 pp.349-355, MARCH 2006.



国際会議・Workshop

X. Wen、Y. Yamato、K. Miyase、S. Kajihara、L.-T. Wang、K. K. Saluja、K. Kinoshita
"An Improved Method of Per-Test X-Fault Diagnosis for Deep-Submicron LSI Circuits"
IEEE Workshop on RTL and High Level Testing、pp.55-60、Harbin、China(2006.11)

Y. Hu、C. Li、J. Li、Y. Han、X. Li、W. Wang、H. Li、L.-T. Wang、X. Wen
"Test Data Compression Based on Clustered Random Access Scan"
IEEE Asian Test Symp.、pp.231-326、Fukuoka、日本(2006.11)

H. Furukawa、X. Wen、L.-T. Wang、B. Sheu、Z. Jiang、S. Wu
"A Novel and Practical Control Scheme for Inter-Clock At-Speed Testing"
IEEE Int'l Test Conf.、pp.17.2、Santa Clara, CA、USA(2006.10)

S. Kajihara、S. Morishima、A. Takuma、X. Wen、T. Maeda、S. Hamada、Y. Sato
"A Framework of High-quality Transition Fault ATPG for Scan Circuits"
IEEE Int'l Test Conf.、pp.2.1、Santa Clara, CA、USA(2006.10)

X. Wen、K. Miyase、T. Suzuki、Y. Yamato、S. Kajihara、L.-T. Wang、K. K. Saluja
"A Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation"
IEEE Int'l Conf. on Computer Design、pp.251-258、San Jose, CA、USA(2006.10)

K. Taniguchi、H. Fujii、S. Kajihara、X. Wen
"Hybrid Fault Simulation with Compiled and Event-Driven Methods"
IEEE Int'l Conf. on Design & Test of Integrated Systems in Nanoscale Technology、pp.240-243、Tunis、Tunisia(2006.9)

Xiaoqing Wen , Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, Khader S. Abdel-Hafez, and Kozo Kinoshita
"A New ATPG Method for Efficient Capture Power Reduction During Scan Testing,"
IEEE VLSI Test Symp.、pp.58-63、Berkeley, CA、USA, April 2006.

Masayasu Fukunaga, Seiji Kajihara, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato
"A dynamic test compaction procedure for high-quality path delay testing"
Asia and South Pacific Design Automation Conference, pp. 348-353, Jan. 2006.



著書

X. Wen 他
「VLSI Test Principles and Architectures: Design for Testability」
Morgan Kaufmann Publishers,2006.



招待講演・チュートリアル講演

Xiaoqing Wen, 他
"Power-Aware Testing and Test Strategies for Low Power Devices"
IEEE Int'l Conf. on Design & Technology of Integrated Systems in nanoscale era, Tozeur, Tunisia, March 2008.

Xiaoqing Wen, 他
"Power-Aware Testing and Test Strategies for Low Power Devices"
Design, Automation & Test in Europe(DATE'08), Munich, March 2008.

温暁青
"SIAT: Signal-Integrity-Aware Testing"
SEMIテクノロジーシンポジウム2007,幕張メッセ, Dec. 2007.

梶原誠司
"VLSIの高品質テスト技術の研究"
パッケージ・高密度実装技術及びテスト技術のセミナー, 大分県産業科学技 術センター, Oct. 2007.

梶原誠司
"ディペンダブルVLSIに向けたテスト技術"
IEEE 関西Chapter講演会,Oct. 2007.

Xiaoqing Wen, 他
"Low Power Test"
European Test Symposium'07, May 2007.

梶原誠司
"論理回路に対する高品質遅延テスト"
第20回 回路とシステム軽井沢ワークショップ,pp. 319-324, April 2007.

Seiji Kajihara
"Delay test quality for logic circuits"
University of Wisconsin-Madison Computer Engineering Seminar, March 2007.



解説論文

梶原誠司,佐藤康夫
"論理回路に対する遅延テスト手法"
電子情報通信学会 基礎・境界ソサイエティ誌,pp.71-77, Vol. 1, Num. 3, Jan. 2008.



技術研究報告・研究会

奥慎治,梶原誠司,宮瀬紘平,温暁青,佐藤康夫
"3値論理シミュレーションにおける遅延計算について"
第59回FTC研究会,セッション1,July 2008

原口雅史,三浦幸也,梶原誠司,佐藤康夫,宮瀬紘平,温暁青
"論理回路の動作環境とトランジスタの劣化特性について"
電子情報通信学会技術研究報告,DC2008-17,pp.35-39,June 2008.

新田和彦,温暁青,梶原誠司,宮瀬紘平,大和勇太,古川寛
"実速度スキャンテストにおけるキャプチャ時消費電力削減手法"
第58回FTC研究会,セッション6,Jan 2008

福澤友晶, 宮瀬紘平, 大和勇太, 古川寛, 温暁青, 梶原誠司
"実速度スキャンテストにおけるキャプチャ時の低消費電力テスト生成手法について"
電子情報通信学会技術研究報告, VLD2007-71, DC2007-26, pp.7-12, Nov 2007.

中村優介,大和勇太,温暁青,宮瀬紘平,梶原誠司,K.K.サルージャ
"LSI回路のX故障によるPer-Test故障診断手法の拡張について"
電子情報通信学会技術研究報告, R2007-33, pp.23-28, Sep 2007.



Copyright(C) 2008 Kajihara & Wen Lab. , All rights reserved.