Publications(2007)

論文誌

Xiaoqing Wen, Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, and Kozo Kinoshita
"A Novel ATPG Method for Capture Power Reduction during Scan Testing"
IEICE Transactions on Information and Systems, Vol. E90-D, No. 9, pp. 1398-1405, Sep. 2007.



国際会議・Workshop

Seiji Kajihara, Shohei Morishima, Masahiro Yamamoto, Xiaoqing Wen, Masayasu Fukunaga, Kazumi Hatayama, Takashi Aikyo
"Estimation of Delay Test Quality and Its Application to Test Generation"
IEEE/ACM International Conference on Computer-Aided Design, pp.413-417, Nov. 2007.

Xiaoqing Wen, Kohei Miyase, Seiji Kajihara, Tatsuya Suzuki, Yuta Yamato, Patrick Girard, Yuji Ohsumi, and Laung-Terng Wang
"A Novel Scheme to Reduce Power Supply Noise for High-Quality At-Speed Scan Testing"
International Test Conference, paper 25.1 (10 pages), Oct. 2007.

Tomoaki. Fukuzawa, Kohei. Miyase, Yuta. Yamato, Hiroshi. Furukawa, Xiaoqing. Wen, Seiji. Kajihara
"A Transition Delay Test Generation Method for Capture Power Reduction during At-Speed Scan Testing"
International Workshop on Microelectronics Assembling and Packaging, pp.33, Oct 2007.

Xiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Seiji Kajihara, Yuji Ohsumi, Kewal. K. Saluja
"Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing"
Proc. ACM/IEEE Design Automation Conf., pp. 527-532, June 2007.



招待講演・チュートリアル講演

温暁青
"SIAT: Signal-Integrity-Aware Testing"
SEMIテクノロジーシンポジウム2007,幕張メッセ, Dec. 2007.

梶原誠司
"VLSIの高品質テスト技術の研究"
パッケージ・高密度実装技術及びテスト技術のセミナー, 大分県産業科学技 術センター, Oct. 2007.

梶原誠司
"ディペンダブルVLSIに向けたテスト技術"
IEEE 関西Chapter講演会,Oct. 2007.

Xiaoqing Wen, 他
"Low Power Test"
European Test Symposium'07, May 2007.

梶原誠司
"論理回路に対する高品質遅延テスト"
第20回 回路とシステム軽井沢ワークショップ,pp. 319-324, April 2007.

Seiji Kajihara
"Delay test quality for logic circuits"
University of Wisconsin-Madison Computer Engineering Seminar, March 2007.



技術研究報告・研究会

福澤友晶, 宮瀬紘平, 大和勇太, 古川寛, 温暁青, 梶原誠司
"実速度スキャンテストにおけるキャプチャ時の低消費電力テスト生成手法について"
電子情報通信学会技術研究報告, VLD2007-71, DC2007-26, pp.7-12, Nov 2007.

大谷雅志,温暁青,大和勇太,宮瀬紘平,梶原誠司
"Per-TestX故障診断手法の診断分解能向上について"
LSIテスティングシンポジウム, pp.239-244, Nov 2007.

中村優介,大和勇太,温暁青,宮瀬紘平,梶原誠司,K.K.サルージャ
"LSI回路のX故障によるPer-Test故障診断手法の拡張について"
電子情報通信学会技術研究報告, R2007-33, pp.23-28, Sep 2007.

藤井秀雄,谷口謙二郎,梶原誠司,温暁青
"順序回路用故障シミュレーションにおけるコンパイル方式の適用と効果について"
電子情報通信学会技術研究報告, DC2006-82, pp.13-18, Feb 2007.

森島翔平,山本真裕,梶原誠司,温暁青,福永昌勉,畠山一実,相京隆
"遷移遅延故障に対する高品質テスト生成手法について"
電子情報通信学会技術研究報告, DC2006-84, pp.25-30, Feb 2007.

塔ノ上義章,温暁青,梶原誠司,宮瀬紘平,鈴木達也,大和勇太
"低消費電力テストのための制約付テスト生成手法について"
電子情報通信学会技術研究報告, CPM2006-148, ICD2006-190, pp.109-114, Jan 2007.

山本真裕,森島翔平,梶原誠司,温暁青,福永昌勉,畠山一実,相京隆
"遅延テスト品質の正確な評価法とテスト生成への応用"
第56回FTC研究会,Jan 2007.



Copyright(C) 2008 Kajihara & Wen Lab. , All rights reserved.